БЛОГ

Mar 19, 2024

Ferroelectric compute-in-memory annealer for combinatorial optimization problems

Posted by in categories: computing, information science

Yin et al. realize a FeFET based compute-in-memory annealer as an efficient combinatorial optimization solver through algorithm-hardware co-design with a FeFET chip, matrix lossless compression, and a multi-epoch simulated annealing algorithm.

Leave a reply